summaryrefslogtreecommitdiff
path: root/Makefile
diff options
context:
space:
mode:
authorfourdan2007-01-12 14:05:52 +0000
committerfourdan2007-01-12 14:05:52 +0000
commite4ecc5d4cbb248914a2f0f9a94f568a55b097c58 (patch)
treeeec9a6e1514c3cdf8b64043bacc3767c89ec6220 /Makefile
parentce1e8e3bd0b56e38fcf8a18e08d12ed412685d4b (diff)
downloadivy-cplusplus-e4ecc5d4cbb248914a2f0f9a94f568a55b097c58.zip
ivy-cplusplus-e4ecc5d4cbb248914a2f0f9a94f568a55b097c58.tar.gz
ivy-cplusplus-e4ecc5d4cbb248914a2f0f9a94f568a55b097c58.tar.bz2
ivy-cplusplus-e4ecc5d4cbb248914a2f0f9a94f568a55b097c58.tar.xz
Update package for Debian Etch
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile2
1 files changed, 1 insertions, 1 deletions
diff --git a/Makefile b/Makefile
index 003b91d..a8fe677 100644
--- a/Makefile
+++ b/Makefile
@@ -12,7 +12,7 @@ ifeq ($(os),Darwin)
else
PERHAPS64 := $(shell uname --machine | perl -ne "print /64/ ? '64' : '';")
LIB:= lib$(PERHAPS64)
- XTLIB = -L/usr/X11R6/$(LIB)
+ XTLIB = -L/usr/$(LIB) -L/usr/X11R6/$(LIB)
CPPFLAGS = -MMD -I/usr/X11R6/include
DSO_EXT = .so
LD = g++ -shared -fPIC